• Home
  • Hardware
  • Intel revolution: Glass begins to be used in new generation processors

Intel revolution: Glass begins to be used in new generation processors

The semiconductor industry has a problem to solve, and it's been brought up more loudly over the last few years. Although the term "nm" we use in our news is the most basic indicator of the development of a chip, constantly shrinking processes...
 Intel revolution: Glass begins to be used in new generation processors
READING NOW Intel revolution: Glass begins to be used in new generation processors
The semiconductor industry has a problem to solve, and it’s been brought up more loudly over the last few years. Although the term “nm” we use in our news is the most basic indicator of the development of a chip, constantly shrinking processes also bring technological and physical difficulties.

Many think that by the end of the decade, the semiconductor industry will hit a wall in terms of being able to scale transistors on silicon using organic materials. In semiconductors and chips, scaling is actually everything, as the advancement of technology depends on it. According to Intel, glass could be the next big leap forward for the industry.

Revolutionary breakthrough from Intel

Intel has introduced one of the first glass substrates for next-generation advanced packaging that will enable the industry to continue pushing Moore’s Law beyond 2030. Intel Senior Vice President Babak Sabi says more than a decade of research has gone into perfecting this innovation.

Compared to modern organic substrates, glass has better thermal, physical and optical properties, providing up to a 10-fold increase in interconnect density. The glass can also withstand higher operating temperatures and provides 50 percent less pattern distortion, increasing depth of focus for lithography.

 

In the images above, you can see that the edge sections of the demo chip have a glass-like surface. Usually, this area of ​​any modern chip consists of organic materials, and current chips are made this way. But thanks to glass substrates, Intel can not only make chips much thinner, but also deliver up to 10x interconnect density, allowing for advanced chip designs unlike anything we’ve ever seen.

1 trillion transistors in one package

The ability of the substrate to withstand higher temperatures also provides designers with extra flexibility when it comes to power distribution and signal routing. At the same time, advanced mechanical properties will increase higher assembly efficiency. In short, the glass substrate will allow chip architects to place more layers (or chiplets) in a smaller space in a single package, minimizing cost and power usage.

Intel is a company that has played leading roles in the semiconductor industry throughout its history. The chip maker pioneered the transition from ceramics to organic packages in the 90s, becoming the first company to introduce halogen and lead-free packages.

Intel states that glass substrates will initially be used for applications that require larger form factor packages, such as graphics, data centers and artificial intelligence. The company aims to offer complete glass substrate solutions starting in the second half of this decade, delivering 1 trillion transistors on a package by 2030.

Comments
Leave a Comment

Details
205 read
okunma21497
0 comments